Русские видео

Сейчас в тренде

Иностранные видео


Скачать с ютуб FPGA/ПЛИС - Сдвиговый регистр или то, о чем не расскажут в видео для начинающих :: атрибуты синтеза в хорошем качестве

FPGA/ПЛИС - Сдвиговый регистр или то, о чем не расскажут в видео для начинающих :: атрибуты синтеза 3 года назад


Если кнопки скачивания не загрузились НАЖМИТЕ ЗДЕСЬ или обновите страницу
Если возникают проблемы со скачиванием, пожалуйста напишите в поддержку по адресу внизу страницы.
Спасибо за использование сервиса savevideohd.ru



FPGA/ПЛИС - Сдвиговый регистр или то, о чем не расскажут в видео для начинающих :: атрибуты синтеза

SRL-Привет! Сдвиговый регистр – это одна из наиболее часто применяемых конструкций в проектах на ПЛИС. В комментариях под прошлым видео вы проявили интерес к практическому воплощению сущностей различных ресурсов, имеющихся внутри ПЛИС. Сегодня мы уделим внимание тому, как можно и нужно писать VHDL и Verilog код для сдвиговых регистров, но при этом, чтобы синтезатор понял, что мы хотим реализовать сдвиговый регистр из нескольких триггеров или же задействовать для его реализации специальные ресурсы, такие SRL регистры. Мы разберем случаи, в которых надо и не надо использовать аппаратные сдвиговые регистры, разберем тонкие моменты их имплементации. Мы затронем пересечение тактовых доменов и увидим, что в некоторых случаях правильно описанный сдвиговый регистр может стать причиной некорректного поведения схемы. ВИДЕО ДЛЯ ВСЕХ! #FPGA IDE: #Vivado #Quartus HDL #VHDL #VERILOG -- Где почитать? 1. Для пользователей Xilinx Vivado 1.1 UG901 Vivado Design Suite Synthesis Guide https://www.xilinx.com/support/docume... 1.2 Vivado Design SuiteTcl Command Reference Guide https://www.xilinx.com/support/docume... 1.3 Vivado Design Suite 7 Series FPGA and Zynq-7000 SoC Libraries Guide https://www.xilinx.com/support/docume... 2. Для пользователей Intel Quartus II 2.1 Intel Quartus Prime Software User Guides https://www.intel.com/content/www/us/... 2.2 Verilog HDL Synthesis Attributes and Directives https://www.intel.com/content/www/us/... 2.3 Quartus II Handbook Volume 1: Design and Synthesis https://www.intel.com/content/dam/www... -- Git репозиторий с исходниками видео https://github.com/FPGA-Systems/fpga_... -- Ссылка на стрим с 4 вариантами реализации SPI на сдвиговом регистре, конечном автомате, счетчике, памяти 1. ПЛИС и АЦП :: 1000 и 1 способ реализации SPI :: Часть 2    • ПЛИС и АЦП :: 1000 и 1 способ реализа...   2. Видео и файлы презентации 2.1 Интерфейсы :: SPI :: Часть 1:: Общие сведения    • Интерфейсы :: SPI :: Часть 1:: Общие ...   2.2 Интерфейсы :: SPI :: Часть 2 :: Варианты реализации    • Интерфейсы :: SPI :: Часть 2 :: Вариа...   -- Скоро состоятся следующие мероприятия: 1. Вторая отечественна конференция FPGA разработчиков https://fpga-systems.ru/conference/20... Участие бесплатное -- Присоединяйтесь к комьюнити FPGA/ПЛИС разработчиков 1. Наш телеграм чат https://t.me/fpgasystems @fpgasystems 2. Сайт проекта https://fpga-systems.ru -- Стримы проходят каждую субботу в 8 вечера по Мск 1. Поддержка выхода новых обучающих видео и проекта fpga-susyems.ru https://yoomoney.ru/to/4100110847722369 2. Поддержка стримов https://www.donationalerts.com/r/fpga... -- Прочее 1. Сотрудничество, услуги, реклама, спонсорство https://fpga-systems.ru/services 2. Ваши предложения и вопросы направляйте на [email protected] -- Таймкоды: 00:00 FPGA-Привет! 0:05 Введение в тему 0:50 Что такое сдвиговый регистр? 2:24 О применении сдвиговых регистров 3:22 Примеры описания на VHDL и Verilog 4:00 Цели и задачи 4:25 Атрибуты в VHDL и Verilog 9:25 Возможности и опции синтеза в Vivado 10:59 Возможности и опции синтеза в Quartus 11:20 Сброс ломает всё 13:55 Практический кейс 1 14:49 Практический кейс 2 15:40 Итоги

Comments